blob: 4f9fc32de2eb2df7ce3b9e0f663675833d296143 [file] [log] [blame]
-----BEGIN EC PARAMETERS-----
BgUrgQQAHw==
-----END EC PARAMETERS-----